TSMC on Track to Start 3nm Chip Production in Second Half of This Year

Apple chipmaking partner TSMC says it will be ready to move its 3nm chip process to volume production in the second half of this year, putting it on track to supply Apple with the next-generation technology in 2023 (via DigiTimes).

3nm apple silicon feature

"We expect the ramp of N3 to be driven by both HPC [high performance computing] and smartphone applications," said Wei during an April 14 earnings conference call. "We continue to see a high level of customer engagement at N3 and expect more new tape-outs for N3 for the first year as compared with N5 and N7."

TSMC is expected to initially process 30,000-35,000 wafers manufactured using 3nm process technology monthly, according to industry sources cited by DigiTimes.

A July 2021 report from Nikkei Asia claimed Apple will launch an iPad this year featuring a processor based on TSMC's 3nm process. The report from DigiTimes today also claims the process will first be used by Apple in iPads, although it doesn't say which model or when it would launch.

If true, it would be the second time in recent years that Apple has debuted new chip technology in an ‌iPad‌ before using it in its flagship smartphones. Apple first debuted the A14 Bionic chip, based on 5nm technology, in 2020's fourth-generation iPad Air.

Whether or not it goes down the same adoption route, Apple is expected to release the majority of its devices with 3nm chips fabricated by TSMC in 2023, including Macs with M3 chips and iPhone 15 models with A17 chips.

The move to a more advanced process typically results in improved performance and power efficiency, enabling faster speeds and longer battery life on future Macs and iPhones. According to TSMC, 3nm technology can increase processing performance by 10% to 15% compared to 5nm tech, while reducing power consumption by 25% to 30%.

Some M3 chips are said to have up to four dies, potentially allowing up to a 40-core CPU. In comparison, Apple's M1 chip has an 8-core CPU and the M1 Pro and M1 Max chips have 10-core CPUs.

TSMC said it is also on track to move N3E, an enhanced version of N3, to volume production in the second half of 2022. Wei said TSMC's N3E process would "further extend our N3 family with enhanced performance, power, and yield."

Looking ahead, TSMC said its next-generation N2 (2nm) process development was also on track, and the foundry expects to get ready for risk production at the end of 2024 followed by volume production in 2025.

Related Roundup: iPhone 15
Buyer's Guide: iPhone 15 (Caution)
Related Forum: iPhone

Popular Stories

airpods pro 2 pink

Apple Releases New AirPods Pro 2 Firmware

Tuesday May 28, 2024 11:46 am PDT by
Apple today released new firmware update for both the Lightning and USB-C versions of the AirPods Pro 2. The new firmware is version 6F7, up from the 6B34 firmware released in November. Apple does not provide details on what features might be included in the refreshed firmware beyond "bug fixes and other improvements," so it is unclear what's new in the update. Apple does not give...
wwdc 2024 main image feature

Apple Confirms Time for June 10 WWDC Keynote, Shares Full Schedule

Tuesday May 28, 2024 10:21 am PDT by
Apple today shared details on the schedule that it has prepared for the 2024 Worldwide Developers Conference, which is set to take place from June 10 to June 14. While WWDC always includes a keynote, Apple has confirmed that it will be held on June 10 at 10:00 a.m. Pacific Time. Apple is expected to announce iOS 18, iPadOS 18, macOS 15, tvOS 18, watchOS 11, and visionOS 2, and at this time,...
apple tv 4k yellow bg feature

Apple TV: The Latest Rumors About a New Model and Possible $99 Price

Wednesday May 29, 2024 8:06 am PDT by
It has been over a year and a half since the current Apple TV was released, so you may be wondering when a new model will be released. Below, we recap rumors about the next-generation Apple TV, including new features and lower pricing. The current Apple TV 4K was introduced in October 2022. Key new features compared to the previous model from 2021 include a faster A15 Bionic chip, a larger...
Apple CarPlay Dash

What to Expect From CarPlay on iOS 18

Wednesday May 29, 2024 7:30 am PDT by
iOS 18 will be unveiled at Apple's annual developers conference WWDC in less than two weeks, and the update will include some new features for CarPlay. Below, we recap new accessibility features that Apple recently previewed for CarPlay, and discuss the current status of the promised next-generation CarPlay. The first beta of iOS 18 will likely be made available to members of the Apple...
Apple iPhone 15 Pro lineup Action button 230912

Apple Green-Lights iPhone 16 Pro Display Production

Tuesday May 28, 2024 5:13 am PDT by
Samsung Display and LG Display have been granted approval for mass production of OLED screens for Apple's upcoming iPhone 16 Pro models, Korea's The Elec reports. Both suppliers apparently received approval earlier this month, paving the way for the commencement of mass production of screens for the iPhone 16 Pro models. While Samsung Display will supply OLED screens for all four iPhone 16...
maxresdefault

Report: These 10 New AI Features Are Coming in iOS 18

Sunday May 26, 2024 12:57 pm PDT by
iOS 18 and macOS 15 will offer an array of new AI features such as auto-generated emojis, suggested replies to emails and messages, and more, Bloomberg's Mark Gurman reports. Subscribe to the MacRumors YouTube channel for more videos. A significant portion of Apple's Worldwide Developers Conference (WWDC) is expected to focus on AI features. Writing his latest "Power On" newsletter, Gurman...

Top Rated Comments

GubbyMan Avatar
28 months ago

So what happens after 1nm is reached? We get into sub-zero nm? Oh my
Intel is going to name their processes 20A and 18A (equivalent to 2nm and 1.8nm). A stands for Ångström ('https://en.wikipedia.org/wiki/Angstrom'). It's also worth to mention that 5nm doesn't stand for anything in particular and transistors are much bigger than that so expect node shrinks to continue for a while longer.

Also going below 1nm is not the same as going sub-zero nm. :p
Score: 10 Votes (Like | Disagree)
kropop Avatar
28 months ago
Can you imagine? The distance of 3nm is only 15 Atoms of Silicium...
Score: 10 Votes (Like | Disagree)
adamw Avatar
28 months ago
This is exceedingly awesome news for Apple, and for all of us who appreciate and own Apple products! We should be looking forward to seeing how Apple can maximize the 3nm Apple Silicon process to reduce the size, heat, and power draw of future chips, while increasing the performance and features of future Apple Silicon chips!
Score: 9 Votes (Like | Disagree)
Zdigital2015 Avatar
28 months ago

TSMC is expecting products using its 2nm process to hit the market in 2026. Intel is claiming that its 20A and 18A (2nm and 1.8nm) nodes will enter volume production in 2024 (probably some 18A products will launch in 2025).

If intel does in fact stick to its schedule without delays (unlike the 14nm->10nm debacle), might Intel actually have node superiority in 2024-25?

TSMC seems to be slowing down. Moving to a 3 year cadence vs 2 years during 7->5->3.
2nm on their own fabs? Yeah, I don’t see that happening and, historically, Intel has always overhyped when they would bring new nodes online. After they’ve appeased shareholders and gotten the hype train going, they come out six months later saying and announce that they are delaying rollout. And the analysts give them a pass. I’m not sure why anyone still believes anything Intel says.

And because technology isn’t static, I’m not sure why “node superiority” is important to anyone, other than to spec chasers. Perhaps it’s important to boosters after all the complete debacle that “10nm” has been for Intel. If Intel hits their targets, great for them. I don’t miss them one bit though.
Score: 8 Votes (Like | Disagree)
Random_Matt Avatar
28 months ago
M3 2023, nope.
Score: 6 Votes (Like | Disagree)
falkon-engine Avatar
28 months ago
TSMC is expecting products using its 2nm process to hit the market in 2026. Intel is claiming that its 20A and 18A (2nm and 1.8nm) nodes will enter volume production in 2024 (probably some 18A products will launch in 2025).

If intel does in fact stick to its schedule without delays (unlike the 14nm->10nm debacle), might Intel actually have node superiority in 2024-25?

TSMC seems to be slowing down. Moving to a 3 year cadence vs 2 years during 7->5->3.
Score: 6 Votes (Like | Disagree)